1.uvm_fatal 出现时,马上结束仿真。

2.set_report_max_quit_count 方式:

UVM:3.4.3 UVM_ERROR 到达一定数量结束仿真_命令行

出现5个错误就退出:

UVM:3.4.3 UVM_ERROR 到达一定数量结束仿真_命令行_02

除了写在build_phase 之外,在其他phase 设置也可以。(report_phase 好像不行)

3.get_max_quit_count,查询当前的退出阈值。返回0 表示无论多少个UVM_ERROR都不会退出,这个函数在uvm_report_server 中。

4.在命令行中:

<sim command> +UVM_MAX_QUIT_COUNT=6,NO

NO表示此值是不可以被后面的语句重载,还可以是YES。